Geometry.Net - the online learning center
Home  - Basic_V - Verilog Programming
e99.com Bookstore
  
Images 
Newsgroups
Page 3     41-41 of 41    Back | 1  | 2  | 3 
A  B  C  D  E  F  G  H  I  J  K  L  M  N  O  P  Q  R  S  T  U  V  W  X  Y  Z  

         Verilog Programming:     more books (51)
  1. Fundamentals of Digital Logic with Verilog Design by Stephen Brown, Zvonko G. Vranesic, 2002-09
  2. Hdl Chip Design: A Practical Guide for Designing, Synthesizing & Simulating Asics & Fpgas Using Vhdl or Verilog by Douglas J. Smith, 1998-03
  3. Principles of Verifiable RTL Design Second Edition - A Functional Coding Style Supporting Verification Processes in Verilog by Lionel Bening, Harry D. Foster, 2001-05-01
  4. Real World FPGA Design with Verilog by Ken Coffman, 1999-12-18
  5. A Verilog HDL Primer by J Bhasker, 1997-03-01
  6. Principles of Verilog PLI by Swapnajit Mittra, 1999-03-31
  7. Digital Design with Verilog HDL (Design Automation Series) by Elizer Sternheim, 1991-12-05
  8. Verilog Coding for Logic Synthesis by Weng Fook Lee, 2003-04-17
  9. Logicworks Verilog Modeler: Interactive Circuit Simulation Software for Windows and Macintosh/Windows Version
  10. Verilog (Golden Reference Guide)
  11. Introduction to Verilog by Bob Zeidman, 2000-11
  12. Verilog Hardware Description Language: Analysis and Design of Digital Systems (Delete(Professional engineering)) by Zainalabedin Navabi, 1999-08-30
  13. Verilog (Golden Reference Guide)
  14. Practical FPGA: Designer's Guide to VHDL and Verilog by Ken Coffman, 2003-03

41. The Verilog PLI Handbook: A User's Guide And Comprehensive Reference On The Veri
Stuart Sutherland , The Verilog PLI Handbook A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface Springer; 2 ed 2002 ISBN
http://avaxhome.ws/ebooks/programming_development/VerilogPLIHandbook.html
var VerifyCode = "881ba11f7ff8262"; Loading... Done Home ABUSE FORM Short Reason:
The Verilog PLI Handbook: A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface
Posted By : Date : Comments : Stuart Sutherland , "The Verilog PLI Handbook: A User's Guide and Comprehensive Reference on the Verilog Programming Language Interface" The Verilog Programming Language Interface is a powerful feature of the Verilog standard. Through this interface, a Verilog simulator can be customized to perform virtually any engineering task desired, such as adding custom design debug utilities, adding proprietary file read/write utilities, and interfacing bus functional C language models to a simulator. This book serves as both a user's guide for learning the Verilog PLI, and as a comprehensive reference manual on the Verilog PLI standard. Both the TF/ACC ("PLI 1.0") and the VPI ("PLI 2.0") generations of the PLI are presented, based on the IEEE 1364 Verilog standard. The second edition of this book adds detailed coverage of the many enhancements added in the latest IEEE 1364-2001 Verilog standard ("Verilog-2001"). Review "The Verilog PLI Handbook is the best book written about the PLI. Use it once, and it will pay for itself by saving you a great deal of time. The book brings clarity to the Verilog Programming Language Interface. The descriptions and extensive examples shed new light on the many dark corners of the PLI. Stuart Sutherland has produced the definitive guide, covering all versions and uses for the PLI. I recommend this book to all Verilog users who want to learn about the PLI."

A  B  C  D  E  F  G  H  I  J  K  L  M  N  O  P  Q  R  S  T  U  V  W  X  Y  Z  

Page 3     41-41 of 41    Back | 1  | 2  | 3 

free hit counter